SMTS Silicon Design Engineer (Physical design Lead with 12+Yrs )
Advanced Micro Devices
- Hyderabad, Telangana
- Permanent
- Full-time
- Own critical designs and drive to convergence from RTL-to-GDSII - Synthesis, floor-planning, place and route, timing closure and signoff
- Understand the micro-architecture to perform feasibility studies on performance, power, and area (PPA) tradeoffs for design closure.
- Develop and improve physical design methodologies and customize recipes across various implementation steps to optimize PPA.
- Implement floor plan, synthesis, placement, CTS, Timing Closure, Routing, Extraction, Physical Verification (DRC & LVS), EM/IR and signoff.
- Handling different PNR tools from various vendors - Synopsys, Cadence, Mentor.
- 12+ years of professional experience in physical design, preferably with high-performance designs.
- Must have closed high-performance IPs - CPU, GPU, DPU, memory controller etc.
- Strong experience with tools for logic synthesis, place and route, timing analysis, and design checks for physical and electrical quality.
- Must have in-depth experience on design partitioning, floor planing and EMIR closure.
- Versatility with scripts to automate design flow - Perl, TCL & Python.
- Strong communication skills, and work with multi-geographic teams.
- Analytical & problem-solving skills and pronounced attention to detail.
- Bachelors or Masters degree in computer engineering/Electrical Engineering